TroubleMaker

为天地立心,为生民立命,为往圣继绝学,为万世开太平
私信 关注
OnePlusZero
码龄3年

为天地立心,为生民立命,为往圣继绝学,为万世开太平

  • 577,521
    被访问量
  • 59
    原创文章
  • 7,215
    作者排名
  • 986
    粉丝数量
  • 于 2017-12-14 加入CSDN
获得成就
  • 获得784次点赞
  • 内容获得54次评论
  • 获得3,308次收藏
荣誉勋章
兴趣领域
  • #硬件开发
    #嵌入式硬件
TA的专栏
  • UVM Tutorial
    35篇
  • IC_HDL
    10篇
  • IC_Verification
    63篇
  • IC_UVM
    63篇
  • IC_SystemVerilog
    30篇
  • Semiconductor
    34篇
  • IC_EDA
    3篇
  • Vim
    2篇
  • Channel Coding
    2篇
  • CS_Linux
    14篇
  • Engineer culture
    12篇
  • IC_Protocol
    5篇
  • Learn_and_Think
    11篇
  • CS_HDL
    1篇
  • CS_Architecture
    8篇
  • Certification
    5篇
  • 最近
  • 文章
  • 资源
  • 问答
  • 课程
  • 帖子
  • 收藏
  • 关注/订阅

1024

认真些!加油
原创
108阅读
0评论
1点赞
发布博客于 5 月前

总结我的验证思路:我们的代码还需要检视,但检视什么也保证不了

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢再谈检视,首先引用一个对检视的不同观点:review真的最有效吗or导致更多的BUG?review:中文叫评审。本人见过这个做法的最早出处是朱兰的质量手册。在很长一段时间被软件行业认为是最有效的保证代码质量的手段。在这段时间的质量高压之下,我们再次见到了红红火火的各种代码review,自检,互检,飞检,X检。这让我想起了考试,考试完了都要自己检查几遍再交卷。(当然是在能够把题目做完的情况下),偶尔我们也会在考场上互检(不过这个可能属...
转载
248阅读
0评论
0点赞
发布博客于 7 月前

总结我的思路,如何在验证中发现和定位Bug​:验证目的

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢发现Bug,发现所有的Bug,或者证明没有Bug,是验证存在的唯一目的。无论任何验证语言、任何验证环境、任何验证方法学、任何FeatureList,都是为了达成这一目的而使用的方法,或者说手段。偏离了这一目的的任何工作和努力,都是屎、大便、Shit。绝对不要被任何华丽的技巧、方法、经验所迷惑,无论验证环境有多么美丽,无论验证语言有多么的HighLevel,都不要迷惑。不要为了追求完美、高效的环境而沉迷其中,陷阱往往就在美丽的后面...
转载
173阅读
0评论
3点赞
发布博客于 7 月前

总结我的验证思路:怎样追波形

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢曾经,有同事仿真挂死,抱着显示器看波形,看了两天,没有结果,给我,我看了30分钟,找到了原因;曾经,在同事已经仿真Pass,最简单的中断测试波形中,我找到了超过20个Bug(和中断测试无关)。所以有同事问我怎么做到的,所以引出了我写这个连载。在这个连载的最后一节,我最后分享一下,我通过波形发现问题,及问题的原因的一些经验。一回生,二回熟。很多新晋的验证人员抱怨,这么多信号,这么复杂的连接关系,千头万绪,眼睛都看得长挑针,还是看不出东西..
转载
285阅读
0评论
1点赞
发布博客于 7 月前

总结我的验证思路:波形为王

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢波形不撒谎,这是我做验证的格言。波形是真理,可以击破一切虚假、迷乱的谎言。波形,是一个逻辑正确运行的最直观的体现,是逻辑在每一个时钟沿,触发每一个信号的跳变或不跳变,进而产生美丽的,如波浪般运转的脉动。中医看病,讲究的是,望、闻、听、切,验证看波形Check缺陷,正如中医诊断的切脉诊病,除非医术达到精深广博,否则仅靠望、闻、听断病,是不够的。规格是人写的,详细设计是人写的,激励是人写的,RM是人写的,自动比对及Lo...
转载
98阅读
0评论
0点赞
发布博客于 7 月前

总结我的验证思路:测试数据会撒谎

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢测试数据会撒谎,没错,测试数据会撒谎。当黑暗蒙住双眼,迷途的羔羊啊,如何才能追随上帝的步伐。当信息不能最直接显示,而需要通过其他现象推导或表现,并且人数超过3个的时候,则测试数据会撒谎。这种情形,主要出现在FPGA测试和样片测试中。当问题出现在这两种测试环节中时,现象往往都非常表面,虚得很,就像浮在水面上,而真正的Bug,往往潜伏在深邃的水底,而水面上,还经常有浮萍啊、乌龟啊,或者紫金矿业的污染物啊之类的阻挡,要像EDA验证一样...
转载
120阅读
0评论
1点赞
发布博客于 8 月前

总结我的验证思路:“开门红” Test Case

本文转自公众号“数字芯片实验室”,作者:夏晶 。谢谢根据规格分解FeatureList,根据FeatureList对应TC,然后再一条一条仿真TC反过来映射FeatureList和规格。没错,这是最通常的做法,可惜我不这样做。世间有80:20原则,验证也是,80%的问题都可以通过20%的测试和时间去发现和解决,而剩余20%的问题需要80%的测试和时间去解决。所以,按照我的思路,会有几个最初级的TC,可以用来测试最基本的通路能否冒烟,这几条TC,可以划归到TCList中,也可以不划...
转载
197阅读
0评论
1点赞
发布博客于 8 月前

给芯片行业新人的一些建议

来源:内容来自公众号「白山头讲IC」,谢谢。 又到了毕业季,作为过来人,这里给大家一点建议。我的经历我职场经历还算丰富。想当初刚毕业的时候,进入了一个大型跨国公司,后来又去过两家大型外企,一家上市民企,一家创业公司,一家小型民企。所以我还是可以给应届生提供一点点有用的建议的。我的建议如果有大平台和小型创业公司可以选择的话,我建议大家选择大平台。这里从六个方面给大家解释:1 .品牌品牌效应,以后跳槽也方便。小公司也不是绝对没有机会了,不过后面跳槽可能...
原创
731阅读
0评论
2点赞
发布博客于 9 月前

UVM疑惑解答第二季

寄存器模块验证中常见的测试点有哪些?检查寄存器的复位值; 需要检查寄存器的域常见读写属性; 检查每个寄存器的地址映射关系是否正确; 检查寄存器的反馈是否及时准确,这一点需要检查硬件状态信号是否连接到寄存器端,如果是更新方式是主动更新,那么可以通过后门访问进行快速检查(不占用总线),如果更新方式是被动更新,那么只能通过前门访问除法ing吉安状态值更新。 对于一些特殊寄存器(wc/rc/wo)需要集合其特定属性,进行单独访问,并通过后门访问或者检测内部信号检查其功能。为什么建议配置放在对象创建.
原创
379阅读
0评论
0点赞
发布博客于 9 月前

提升自我的最佳方法:复盘

前言:复盘,是一个人或者是一个公司成长最快且最重要的方法,如果没有复盘的意识,那么你可能一直在原地踏步,甚至还自我感觉良好,这是个人成长最致命的错误。 在生活中,你可能会看到两种人,一种隔了段时间没见,无论是在技术上,还是为人处世上,各个方面都有快速的进步,而另一种人,还是老样子。 其实这就是有没有做复盘的区别。 今天这篇文章就来讲讲,如何做个人的自我复盘。一、何为复盘 在讲如何做之前,我们先谈谈,什么是复盘。 其实最初可以追溯到2000多年前,也就是我们小学肯定...
转载
367阅读
0评论
1点赞
发布博客于 9 月前

UVM疑惑解答第一季

为什么要用类来做UVM的通信事务?为什么不可以是结构体呢?class和struct都可以包含数据; class可以对数据做封装,struct不可以; class可以对父类做继承,添加新的成员,struct要添加新的变量只能文本拷贝; class可以内置成员方法对成员变量做操作,struct不可以; transaction需要随机化和约束,这是类的专长,struct不可以; 可以使用对象内建的randomize()函数随机化对象中的随机变量,而struct无法轻松办到这一点(不是不可以; cla
原创
827阅读
1评论
4点赞
发布博客于 10 月前

Systemverilog 疑惑解答第二季(持续更新中)

inital语句块与final语句块有什么区别?inital语句块在仿真开始时执行,final语句块在仿真结束时执行;final语句块不能有delay,wait和non_blocking具有时许的语句。如何检查句柄是否存有对象?检查该对象是否初始化,在SV中,所有未初始化的对象句柄都具有特殊的null值。assert(obj == null)代码覆盖率与功能覆盖率有什么区别?代码覆盖率描述设计中代码执行的客观信息;功能覆盖率决定了设计已实现了多少功能。系统方法与内建方法有...
原创
245阅读
0评论
1点赞
发布博客于 10 月前

ASIC全流程视频资源整理

持续更新中ing 数字IC设计入门之全流程:BV1BJ411w7gf 数字IC SOC设计:BV1z4411278K 数字IC Perl脚本:BV1AJ41137ML 数字IC UVM验证:BV1sJ411D7gB 数字IC SVA断言:BV1hE411Q7ZH 数字IC VCS仿真:BV1PJ411K7mj 数字IC DFT:BV134411B7EF 数字IC 综合DC&形式验证FORMAL:BV1...
原创
583阅读
1评论
1点赞
发布博客于 1 年前

Systemverilog 疑惑解答第一季

`include与import的差别在哪里?SV常会用`include将多个文件"平铺"置于某个域中(scope),这个域可能是package/module/interface等,简单理解就是`include就是将对应文本的内容平铺到当前域的字段中;inport则是从包(package)中引用某些需要的数据类型,例如class/parameter/enum到当前域,以帮助编译器能够识别被引用的类型.在一些头文件(.svh)中,会有typedef class X,这是什么意思?首先这需要与常见 .
原创
817阅读
0评论
3点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers 糖果爱好者

UVM Tutorial for Candy Lovers – 1. Overview UVM Tutorial for Candy Lovers – 2. Recipe UVM Tutorial for Candy Lovers – 3. Transactions and Sequences UVM Tutorial for Candy Lovers – 4. Agent UVM Tutorial for Candy Lovers – 5. Environmen..
原创
341阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 36. Register Callbacks

In some design, when one register is written, another register takes a new value. This article will explain how to model this behavior using a register callback.Registers in Jelly Bean TasterInRe...
翻译
158阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 35. Defining do_record

This is the last article about the “do” hook series. Thedo_recordfunction is the user-definable hook called by therecordfunction ofuvm_objectwhich records the object properties.Defining do_rec...
翻译
145阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 34. Component Override

Some people told me that sour-chocolate actually tastes good and there are many recipes for sour cream chocolate cake. I understand that people have different tastes, so decided to replace our scorebo...
翻译
128阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 33. Defining do_print

When we implemented the“do” hooksbefore, we defined theconvert2stringfunction, but we did not define our owndo_printfunction. This was because theconvert2stringis very flexible and light weigh...
翻译
136阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 32. Using randc

One of the loyal jelly-bean customers reported that his gift box had repeated flavors. After the investigation, we found a potential issue with thegift_boxed_jelly_bean_sequencecreated inTransactio...
翻译
154阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 31. Provides Responses?

This is a short article about when we should set theprovides_responsesbit of the register adapter.Original Jelly Bean DriverThis is the orignaljelly_bean_driverused inRegister Abstraction. Th...
翻译
119阅读
0评论
0点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 30. Back of the Back Door

In the earlier posts (Register Access through the Back DoorandBackdoor HDL Path), we usedconfigure,add_hdl_pathandadd_hdl_path_slice, then these functionsmagicallycreated the HDL paths. That’s...
翻译
159阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 29. Backdoor HDL Path

Our jelly-bean tasting business became very successful, so we decided to expand our business into partnership with another jelly-bean taster. During the process of the merger, however, we found that t...
翻译
113阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 27. Message Verbosity

UVM has a rich reporting facility. This article explains how to use a verbosity threshold to filter messages.Pre-defined Verbosity LevelsUVM pre-defines six verbosity levels;UVM_NONEtoUVM_DEBUG...
翻译
104阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 28. Message Logging

In theprevious article, we explained how to filter messages using a verbosity threshold. This article explains how to send the messages to a file (or files).Message ExampleAs an example, we added...
翻译
94阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 26. Sequence Arbitration

Our jelly-bean business has been doing so well that we started to receive multiple jelly-bean orders at the same time. Some customers requested expedited shipping, too. But how to prioritize the reque...
翻译
108阅读
0评论
1点赞
发布博客于 1 年前

VIM配置

"""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""""" Maintainer: " Amir Salihefendic — @amix3k"" Awesome_version:" Get this config, nice color schemes and lots of plugi...
转载
109阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 25. Using a C-Model

We often use a C-model as a reference model. Thanks to the direct programming interface (DPI) of SystemVerilog, using C-model has never been easier. We will show you how to use a C-model in our jelly ...
翻译
101阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 24. Register Access through the Back Door

This post will add back-door access to the registers defined inRegister Abstraction. With a few additional lines of code, you can access the registers through the back door.DUTWe use the same DUT...
翻译
117阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 23. Jelly Bean Taster in UVM 1.2

My first series of UVM tutorials (#1 to #6) was posted more than three years ago. Since then, UVM (and my knowledge about it) has evolved and I always wanted to update my articles and code. But it was...
翻译
189阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 22. Phasing

When we created thejelly_bean_driverinAgent, we coded thebuild_phasefunction and therun_phasetask, but who actually calls them? The answer isuvm_phaseclass.UVM PhasesUVM has nine common p...
翻译
95阅读
0评论
2点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 21. TLM 1 Example

In theprevious post, we looked at an overview of the TLM 1 classes. This post will give you a sample code using some of the TLM 1 classes.ComponentsWe created the following components to demonstr...
翻译
122阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 20. TLM 1

UVM supports ports (TLM 1) and sockets (TLM 2) as transaction-level interfaces. This post will explain TLM 1.TLM 1 seems daunting as it has many ports, exports, and “imp”s, but once you understand th...
翻译
113阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 19. Analysis FIFO

This post will explain how to use analysis FIFOs.Let’s assume I wanted a scoreboard that compares two streams of jelly beans; one stream is for “expected” jelly beans, the other is for “actual” jelly...
翻译
137阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 18. Configuration Database Revisited

In the post,Configurations, we looked at the configuration flow of the jelly bean verification. We also looked at the behind the scenes of the configuration flow in the post,Configuration Database. ...
翻译
100阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 17. Register Read Demystified

In the last post,Register Access Methods, we looked at the primary methods of RAL and showed how they worked. This post will further focus on theread()method and show how the method actually reads ...
翻译
84阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 16. Register Access Methods

assert( flavor.randomize() );The register abstraction layer (RAL) of UVM provides several methods to access registers. This post will explain how the register-access methods work.InRegister Abstr...
翻译
131阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 15. “Do” Hooks

This post will explain user-definabledo_*hook functions.InField Macros, we saw that the standard data methods, such ascopy()andcompare(), called the user-definable hook functions, such asdo_co...
翻译
115阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 14. Field Macros

This post will explain how UVM field macros (`uvm_field_*) work.InTransactions and Sequences, we used the UVM field macros to automatically implement the standard data methods, such ascopy(),compa...
翻译
144阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 13. Configuration Database

This post will explain how configuration database (uvm_config_db) works.InConfigurations, we used theuvm_config_dbto store ajelly_bean_if, ajelly_bean_env_config, and twojelly_bean_agent_config...
翻译
139阅读
0评论
1点赞
发布博客于 1 年前

UVM Tutorial for Candy Lovers – 12. Analysis Port

This post will explain how analysis port and analysis export work.InAgent, we connected the analysis port (jb_ap) of the jelly-bean monitor (jb_mon) to the analysis port (jb_ap) of the jelly-bean a...
翻译
108阅读
0评论
0点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 11. Sequence Item Port

A UVM driver and a UVM sequencer are connected using a UVM sequence item port and an export. This post will explain how the sequence item port works.InAgent, we connected the sequence item port (seq...
翻译
164阅读
0评论
0点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 10. Inside Candy Factory

UVM factory is used to create UVM objects and components. This post will explain the UVM factory using jelly beans (as you expected) and reveal what happens behind the scenes in the factory.::type_i...
翻译
109阅读
0评论
1点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 9. Register Abstraction

This post will explain how to use the UVM Register Abstraction Layer (RAL) to generate register transactions.The figure below shows the verification platform used for this post. Among other things, t...
翻译
156阅读
0评论
1点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 8. Configurations

This post will give an explanation on UVM configuration objects, since the earlier posts did not cover much on them.The jelly-bean verification platform uses two kinds of configuration objects,jelly...
翻译
96阅读
0评论
1点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 7. Virtual Sequence

Did you know the mix of two lemon and two coconut jelly beans will create the flavor of lemon meringue pie? And the mix of two strawberry and two vanilla jelly beans will create the flavor of strawber...
翻译
150阅读
0评论
0点赞
发布博客于 2 年前

UVM Tutorial

UVMhttps://www.chipverify.com/uvm/uvm-tutorialhttps://www.verificationguide.com/p/uvm-tutorial.htmlhttp://www.testbench.in/index.htmlhttp://cluelogic.com/category/uvm/https://www.edaplaygrou...
原创
211阅读
0评论
3点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 6. Tasting

The anticipated culmination of the UVM for Candy Lovers series is revealed in this post. Using the created verification components and writing out a test class, the actual simulation is prepared to ru...
翻译
103阅读
0评论
2点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 5. Environment

This post will provide a continued explanation on the rest of the verification components.SubscribersFunctional CoverageThe functional coverage subscriber (jelly_bean_fc_sucbscriber) identifies ...
翻译
192阅读
0评论
2点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 4. Agent

The last post concentrated on the transactions and sequences of the jelly-bean taster system. This post will explain the verification components in the verification environment further in depth.Inte...
翻译
116阅读
0评论
2点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 3. Transactions and Sequences

This post will provide an explanation on the SystemVerilog code itself. Please seeRecipefor the class diagram.TransactionsJelly-Bean TransactionThejelly_bean_transactionclass defines the jel...
翻译
181阅读
0评论
2点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 2. Recipe

While the last post clarified the verification components of the jelly-bean taster, this post will provide a focus for the jelly-bean recipe.The jelly-bean recipe is passed as a transaction from the...
翻译
139阅读
0评论
2点赞
发布博客于 2 年前

UVM Tutorial for Candy Lovers – 1. Overview

Accellera’s recently released UVM may change the future of verification, as verification methodology seems to be consolidated in this UVM. This post will provide a simple tutorial on this new verifica...
翻译
358阅读
0评论
2点赞
发布博客于 2 年前

怎么合适地使用Vim保存文件?

本文来自:https://vimjc.com/vim-write-file.html。谢谢原作者Vim使用最频繁的命令之一应该是:w。Vim命令行命令:w是:write的缩写形式,用于将当前Vim缓冲区的内容写到磁盘文件中,即完成保存文件的操作。别小看了这么一个简单又常见的写文件操作,合理地使用Vim命令保存文件也是一个值得深入研究的话题。Vim教程网总结了多种保存文件的Vi...
原创
174阅读
0评论
0点赞
发布博客于 2 年前

sv_lab.zip

一个demo,关于systemverilog,完成的design和verification。希望有需要的朋友能看到
zip
发布资源于 2 年前

uvm_lab.zip

一个demo,关于UVM,完成的design和verification。希望有需要的朋友能看到
zip
发布资源于 2 年前

GIT

Some introduce about Githttps://www.atlassian.com/git/tutorials/what-is-version-control https://git-scm.com/book/en/v2 https://www.liaoxuefeng.com/wiki/896043488029600 简易GIT:https://blog.csdn.net...
原创
82阅读
0评论
1点赞
发布博客于 2 年前

UVM message guildelines

(1) Quit using the $display command!(2) Use the message macros, not the message methods.(3) Use `uvm_info("id", "msg", UVM_NONE) for only the most important messages that should NEVER be filtered, ...
原创
120阅读
0评论
0点赞
发布博客于 2 年前

SV static和automatical的区别?

这主要是一个生命周期的问题。如果数据变量被声明为automatic,那么在进入该进程/方法之后,automatic变量会被创建,而在离开该进程/方法之后,automatic变量会被销毁。这同C语言的变量及其作用域的使用说明是一致的。而static变量在仿真开始时即会被创建,而在进程/方法执行过程中,自身不会被销毁,且可以被多个进程/方法所共享。所以,对于automatic与static两种生命周...
原创
957阅读
0评论
2点赞
发布博客于 2 年前

SV program & module

相同之处:1.和module相同,program也可以定义0个或多个输入、输出、双向端口。2.一个program块内部可以包含0个或多个initial块、generate块、specparam语句、连续赋值语句、并发断言、timeunit声明。3.在program块中数据类型、数据声明、函数和任务的定义均与module块类似。4.一个设计中可以包含多个program块,这些prog...
原创
641阅读
0评论
2点赞
发布博客于 2 年前

详细对比DRAM、Flash和DDR技术

来源:内容来自[ittbank],谢谢。文章主要介绍DRAM、FLASH和DDR技术分析和对比,并从容量、成本、可靠性、耐用性、ECC算法和坏块处理等维度进行对比分析。定义1、DRAMDRAM(Dynamic Random Access Memory),即动态随机存取存储器,最为常见的系统内存。DRAM 只能将数据保持很短的时间。为了保持数据,DRAM使用电容存储,所以必须隔...
原创
716阅读
0评论
1点赞
发布博客于 2 年前

国内芯片60个细分领域知名代表企业

来源:全球半导体论坛国内芯片60个细分领域知名代表企业
转载
735阅读
1评论
3点赞
发布博客于 2 年前

关于GPGPU计算的11个“传说”

来源:本文由公众号半导体行业观察(ID:icbank)翻译自「Microwaves & RF」,作者Daniel Mor,谢谢。当下,嵌入式系统设计主要面临两个困难,可将其归纳为:算力的损失和功耗的增加。主要的“罪魁祸首”包括:数据源的涌入(influx),技术的持续升级,系统规模的缩小以及系统内部密度的增加。高性能嵌入式计算机(HPEC)系统已经开始在通用图形处理器单元(G...
翻译
139阅读
0评论
0点赞
发布博客于 2 年前

EDA究竟难在哪里?

来源:芯思想 作者:邸志雄三大EDA公司主要有哪些软件产品?为什么芯片设计行业无法脱离EDA工具?不知道是否还有人记得这张照片,2017年3月3日,在小米5C手机和小米自主SoC芯片澎湃S1的发布会结束时,雷军公布了这张致谢图。图中红色框的即是EDA领域的三大巨头:Synopsys、Cadence、Mentor,绿色框是我们国产EDA公司华大九天。印象中,这是E...
原创
967阅读
0评论
4点赞
发布博客于 2 年前

为什么EDA软件对芯片设计如此重要?

来源:内容来自「网络交换FPGA」,谢谢。对于系统厂商而言,如果说芯片是子弹,是粮食的话,那么芯片EDA工具则是制造子弹,加工粮食的工具,其重要性可见一斑。现在要命的问题是,国产EDA工具在整个芯片设计的过程中贡献度几乎为零!近日两家EDA巨头宣布对华为禁售和停止更新已有软件。芯片设计及使用的EDA工具是个啥芯片设计分为前端设计和后端设计,前端设计(也称逻辑设计)和...
原创
8342阅读
0评论
5点赞
发布博客于 2 年前

嵌入式硬件设计:SoC开发、电源设计、人机交互设计

整理自《ARM9嵌入式系统硬件设计指南》第1章 嵌入式系统概述1.1 嵌入式系统嵌入式计算机系统的出现,是现代计算机发展史上的里程碑。嵌入式系统诞生于微型计算机时代,与通用计算机的发展道路完全不同,形成了独立的单芯片的技术发展道路。由于嵌入式系统的诞生,现代计算机领域中出现了通用计算机与嵌入式计算机的两大分支。通用计算机按照高速、海量的技术发展;嵌入式计算机系统则为满足对象嵌入式...
转载
3044阅读
0评论
5点赞
发布博客于 2 年前

ESL Design and Verification.pdf

ESL Design and Verification: A Prescription for Electronic System Level Methodology
pdf
发布资源于 2 年前

关于IGBT(绝缘栅双极型晶体管)

来源: 中投证券IGBT(绝缘栅双极型晶体管),是由 BJT(双极结型晶体三极管) 和 MOS(绝缘栅型场效应管) 组成的复合全控型-电压驱动式-功率半导体器件,其具有自关断的特征。简单讲,是一个非通即断的开关,IGBT没有放大电压的功能,导通时可以看做导线,断开时当做开路。IGBT融合了BJT和MOSFET的两种器件的优点,如驱动功率小和饱和压降低等。IGBT模块是由IG...
原创
1526阅读
0评论
0点赞
发布博客于 2 年前

一个合格数字IC设计工程师的知识结构

I. 技能清单 语言类 Verilog-2001/ VHDL SystemVerilog/ SystemC Makefile/ Perl/ Python/ Shell Tcl 工具类 NCVerilog/ VCS/ ModelSim SimVision/ DVE/ Verdi ...
转载
784阅读
2评论
5点赞
发布博客于 2 年前

关于寒武纪的前世今生与未来

来源:硬核财经上世纪50年代,成就了“20世纪最伟大发明”的“晶体管之父”的肖克利博士,有著名的八大门徒。这八位青年科学家后来离肖克利而去,集体成立了仙童半导体公司,肖克利怒不可遏地骂他们是“八叛逆”(The Traitorous Eight)。在仙童期间,以诺伊斯为首的“八叛徒”在晶体管的基础上设计出了基于硅的IC(集成电路),他本人与德州仪器的基尔比并称“集成电路之父”。后来由于仙...
原创
1971阅读
0评论
3点赞
发布博客于 2 年前

数字IC设计工程师笔试面试经典100题

本文来自芯社区,谢谢。1:什么是同步逻辑和异步逻辑? 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x有无变化,状态表中的每个状态都是稳定的。异步时序逻辑电路的特点:...
原创
36247阅读
6评论
76点赞
发布博客于 2 年前

ASIC--模拟版图工程师

一、模拟版图工程师介绍 模拟版图设计工程师为专业版图设计人员,主要负责通过EDA设计工具,进行集成电路后端的版图设计和验证,最终产生送交供集成电路制造用的GDSII数据。中文名版图设计工程师,我们也称之为layout 。 工作内容负责进行版图布局规划。有一定的全定制模块版图设计实践经验,独立进行版图规划、设计或验证等。承担模块(Block Level)版图设计、...
原创
6230阅读
0评论
10点赞
发布博客于 2 年前

计算机组成与设计:从客户端到云的并行处理器

6.1 引言多处理器:至少含有两个处理器的计算机系统; 任务级并行或进程级并行:通过同时运行独立程序的方法来利用多处理器; 并行处理程序:同时运行在多个处理器上的单一程序; 集群:通过局域网连接的一组计算机,其作用等同于一个大型的多处理器; 多核微处理器:在单一集成电路上包含多个处理器的微处理器,基本上目前所有的台式机和服务器都是多核微处理器; 共享内存处理器:共享一个物理地址空间的...
原创
307阅读
2评论
0点赞
发布博客于 2 年前

计算机组成与设计:大容量和高速度-开发存储器层次结构

5.1 引言时间局限性:如果某个数据线被访问,那么在不久的将来它可能再次被访问。空间局限性:如果某个数据项被访问,与它相邻的数据项可能很快也被访问。 存储器层次结构:一种有多存储器层次组成的结构,存储器的容量和访问时间随着里处理器距离的增加而增加。块或行:可存在于或不存在与cache中的信息的最小单元;命中率:在高层存储器中找到目标数据的存储访问比例;缺失率:在高层存储器中没有找到目标...
原创
498阅读
0评论
3点赞
发布博客于 2 年前

计算机组成与设计:处理器

4.1 引言一台计算机的性能有三个关键因素决定:指令数目,时钟周期长度和每条指令所需时钟周期数。其中,处理器的实现方式决定了时钟周期长度和CPI。MIPS的抽象视图4.2 逻辑设计的一般方法在考虑计算机的设计时,必须决定机器的逻辑实现以及机器时钟。 MIPS实现中的数据通路功能部件包括两种不同的逻辑单元:组合单元和状态单元。组合单元的输出只取决于当前的输入(与或非门或ALU);...
原创
1510阅读
0评论
5点赞
发布博客于 2 年前

电子技术基础:数字部分

benPPT是电子技术基础:数字部分的内容,有兴趣的朋友可以查看。
zip
发布资源于 2 年前

计算机组成与设计:计算机的算术运算

3.1引言3.2 加法和减法硬件规模总是有一定限制的,如字宽只有32位,当运算结果超过这个限制时,就会发生溢出。 一般来说,对于有符号数,当相加的两个源操作数符号相异时,不会发生溢出;当相减的源操作数的符号相同时,不会发生溢出。对于无符号数,通常用来表示内存地址,这种情况下的溢出可以忽略。MIPS采用两种类型的算术指令来解决这个问题:加法(add)、立即数加法(addi)、减法(s...
原创
644阅读
0评论
1点赞
发布博客于 2 年前

计算机组成与设计:指令-计算机的语言

2.1 引言计算机语言中的基本单词成为指令,一台计算机的全部指令成为该计算机的指令集。不同的指令集具有相似性,一方面是因为所有计算机都是基于基本原理相似的硬件技术所构建;另一方面所有计算机都必须提供一些基本操作;此外计算机的设计者有一个共同的目标:找到一种语言,可方便硬件和编译器的设计,且使性能最佳,同时成本和功耗最低。MIPS操作数之32个寄存器寄存器名字 寄存器编号...
原创
928阅读
0评论
2点赞
发布博客于 2 年前

计算机组成与设计:计算机概要与技术

1.1 计算机的分类1.2 计算机系统结构中8个伟大的思想1.2.1 面向摩尔定律的设计摩尔定律指出单芯片上的集成度每18-24个月翻一番。摩尔定律由Intel公司的创始人Godon Moore在1965年对集成电路集成度做出的预测。总结下来有如下三个版本:集成电路芯片上所集成的电路的数目,每隔18个月就翻一番。 微处理器的性能每隔18个月提高一倍,而价格下降一倍。 用一...
原创
430阅读
0评论
4点赞
发布博客于 2 年前

关于5G的终极武器-毫米波

来源:内容来自「IT之家」,谢谢。从今年开始如果你想换手机,那么5G将是一个难以回避的问题。作为被普遍认为将变革社会生活方方面面的下一代无线通信技术,5G将凭借超高的无线网络的速度、覆盖范围和响应能力在未来迸发出无限能量。5G相比以往4G的优势有很多,不过最重要、普通消费者最关心的,恐怕还是突破想象的传输速率了。但是不知大家有没有想过,5G的速度为何能实现10倍甚至100倍的提高...
原创
5635阅读
0评论
8点赞
发布博客于 2 年前

ASIC验证全流程

原创
1178阅读
0评论
4点赞
发布博客于 2 年前

ASIC全流程

原创
932阅读
0评论
3点赞
发布博客于 2 年前

解读两大精简指令集:RISC-V和MIPS

来源:内容来自「SIMIT战略研究室」,谢谢。当前CPU的两大架构是CISC(复杂指令集)和RISC(精简指令集),x86是CISC的代表架构,占领了95%以上的桌面计算机和服务器市场。Arm作为RISC的一种,在智能手机、可穿戴设备等移动处理器市场占领主要地位。针对物联网、5G、AI新兴领域的应用,RISC-V和MIPS两大精简指令集架构再次登上历史舞台。- RISC-V -...
原创
18076阅读
0评论
5点赞
发布博客于 2 年前

通信巨头博通的崛起之路

转自:吴彤 半导体行业观察导语:日前,博通发表了新一季的财报。财报显示,第一季度,公司净营收为57.89亿美元,毛利润为32.08亿美元,毛利率为55.4%,去年同期的毛利润为26.28亿美元,毛利率为49.3%。博通总裁及CEO陈福阳表示:“尽管预计无线业务大幅滑坡,但网络业务的强劲业绩为我们的半导体解决方案部门提供了支撑。此外,随着将CA业务与博通整合取得良好进展,我们基础设施...
原创
4279阅读
0评论
2点赞
发布博客于 2 年前

无线通信频率分配表(含最新5G NR)

先看看无线电信号的频谱如何划分:1、 5G NR 3GPP已指定5G NR 支持的频段列表,5G NR频谱范围可达100GHz,指定了两大频率范围:①Frequency range 1 (FR1):就是我们通常讲的6GHz以下频段•频率范围:450MHz - 6.0GHz•最大信道带宽100MHz②Frequency r...
转载
3144阅读
0评论
1点赞
发布博客于 2 年前

ASIC--DFT可测性设计工程师

DFT是什么?DFT是design for test(可测性设计)的缩写,就是在芯片设计过程中,加入可测性逻辑。有的公司把该职位归到前端设计,有的归到中端实现。DFT职位大多分布于规模较大的数字IC设计公司里,因为大公司对芯片品质要求高,而且规模越大,芯片越贵,DFT就越复杂越重要。DFT主要是通过在芯片中加入可测性逻辑,等芯片制造出来,在ATE(AutomaticTestEquipmen...
原创
11095阅读
1评论
26点赞
发布博客于 2 年前

三星半导体发展史

本文摘自《手机风暴》(Mobile Unleashed),文章详细介绍了三星半导体的历史。原文详见:https://www.semiwiki.com/forum/content/7994-detailed-history-samsung-semiconductor.html大型集团是专一求精的对立面,而三星则是典型的集团化大财阀。1938年,三星从不起眼的食品出口商起家,经历了两场大战的动...
翻译
2099阅读
1评论
4点赞
发布博客于 2 年前

日本被动元件是怎样称霸全球的

内容来自「莫尼塔研究」,谢谢。1.1何为被动元件被动元件最初是台湾电子行业对某些电子元器件的叫法,区别于主动元件。而国内此前则称无源器件和有源器件。被动元件内部不需要电源驱动,其本身不消耗电能,只需输入信号就可以做出放大、震荡、计算等响应,无需外部激励单元。各种电子产品中含有被动元件,是电子电路产业的基石。图表1电子元件的分类 资料来源:莫尼塔研究被动元件主要分为RCL...
原创
1817阅读
0评论
1点赞
发布博客于 2 年前

关于DSP数字信号处理技术

来源:东兴证券,谢谢DSP 即数字信号处理技术, DSP 芯片即指能够实现数字信号处理技术的芯片。 DSP芯片是一种快速强大的微处理器,独特之处在于它能即时处理资料。 DSP 芯片的内部采用程序和数据分开的哈佛结构,具有专门的硬件乘法器,可以用来快速的实现各种数字信号处理算法。 在当今的数字化时代背景下, DSP 己成为通信、计算机、消费类电子产品等领域的基础器件。DSP 芯片的诞生...
原创
6015阅读
0评论
5点赞
发布博客于 2 年前

半导体材料进化史

内容来自:半导体行业观察。谢谢现代世界里,没有人可以说自己跟“半导体”没有关系。半导体听起来既生硬又冷冰冰,但它不仅是科学园区里那帮工程师的事,你每天滑的手机、用的电脑、看的电视、听的音响,里面都有半导体元件,可以说若没有半导体,就没有现代世界里的轻巧又好用的高科技产物。半导体的重要性不可言喻,甚至被誉为世界上第 4 大重要发明。美国《大西洋月刊》曾找来科学家、历史学家、技术专家为人类史上...
原创
914阅读
0评论
3点赞
发布博客于 2 年前

仙童传奇-半导体的奇迹

来源:内容来自鲜枣课堂,谢谢。1947年12月,美国贝尔实验室的威廉·肖克利,携手他的同事约翰·巴丁、沃尔特·布拉顿,成功研制出世界上第一个晶体管。左起:巴丁、肖克利、布拉顿正如大家所知道的,晶体管堪称20世纪最伟大的发明之一,直接吹响了信息技术革命的号角,意义深远。首款晶体管1955年,怀揣更远大梦想的肖克利离开了贝尔实验室,回到自己的老家...
原创
944阅读
0评论
1点赞
发布博客于 2 年前

反思整顿,继续冲

生活总是充满着艰辛,幸运的是我们有一个总是向往着更美好生活努力往前冲的心态!行色匆匆中,旧的一年已所剩无几,新的时光正在迎面走来。在此,谨以此文反思这一年的行程,从而为更加优秀的自己理清脉络。生活呀!苟且呀,诗与远方呀。首先感谢自己的家人对自己学业的一往无前的支持和野蛮女友的陪伴。你们都知道,这是这一切的根基,没有了他们,所有的事情都是虚无。感谢!感恩!再者感谢恩师对自己的栽培,让我在学术...
原创
151阅读
0评论
1点赞
发布博客于 2 年前

AMBA_UVM验证DEMO

AMBA_UVM验证,可以在VCS等仿真工具中进行运行,可以帮助你更好的理解UVM验证平台
zip
发布资源于 2 年前

关于eFPGA?

作者:老石;来源:摩尔芯闻eFPGA,全称为嵌入式FPGA(Embedded FPGA),顾名思义是将类似于FPGA的可编程逻辑阵列“嵌入”到ASIC或SoC中。在老石之前的文章《 Xilinx到底有没有赢得微软的FPGA订单 》中,提到了Facebook可能会采用类似eFPGA的方法,作为自家数据中心的计算加速芯片。在本文中,老石收集整理了几个关于eFPGA的常见迷思,以及对应的解答和讨...
转载
602阅读
0评论
1点赞
发布博客于 2 年前

我们所需要的终极软件——软件也要歧视大龄程序员吗?

作者 | LifePIM译者 | 弯月责编 | 仲培艺出品 | CSDN(ID:CSDNNews)看着心爱的人一天天老去,你内心一定很悲凉。在我们看来很简单的起居生活对他们来说却日渐艰难,直至最终无法自理。如果陷入这样的情境,你可能会想有没有软件可以帮助解决这个问题。但问题在于,如今上一辈的老人都没有真正接触过高科技。那么我们呢?如果有一天我们老了,生活无法自理,我们该...
翻译
167阅读
0评论
1点赞
发布博客于 2 年前

Advantages of using Assertions

本文转自:http://www.learnuvmverification.com/index.php/category/assertions/Hi Friends, This time I decided to write about Assertions. I personally feels Assertions are very handy and highly useful asset...
翻译
135阅读
0评论
0点赞
发布博客于 2 年前

干货 | 3分钟成为半导体晶圆行业资深专家的精华知识

来源:半导体行业观察、中信证券徐涛、胡叶倩雯与晏磊晶圆(wafer) 是制造半导体器件的基础性原材料。 极高纯度的半导体经过拉晶、切片等工序制备成为晶圆,晶圆经过一系列半导体制造工艺形成极微小的电路结构,再经切割、封装、测试成为芯片,广泛应用到各类电子设备当中。 晶圆材料经历了 60 余年的技术演进和产业发展,形成了当今以硅为主、新型半导体材料为补充的产业局面。半导体晶圆材料的基...
原创
2357阅读
0评论
4点赞
发布博客于 2 年前

uvm-cookbook-complete-verification-academy

uvm-cookbook-complete-verification-academy
pdf
发布资源于 2 年前

BASH、PERL、PYTHON、TCL之数字前端脚本语言

最近由于工作的需要接触了脚本语言,现总结下来以供后面查询,主要包括了基本的语法及函数与文件的读写操作。本博客内容来自或者部分来自以下网站Bash,Perl,Python3,Python3,Tcl。(特此声明:由于Python不同版本之间语法具有差异,本博客内容全部取自于Python3)注释单行注释bash ==> #perl ==> #python ==>...
原创
952阅读
0评论
3点赞
发布博客于 2 年前

频频霸榜的 Python,竟遭开发者嫌弃!

在刚刚过去的 2018 年里,要说最热门的科技领域是哪一个?毋庸置疑的是,人工智能必排在前列;而要论编程语言界,最流行的编程语言是谁?那非 Python 莫属。2018 年 8 月,根据一年一度的 IEEE Spectrum 编程语言来看,Python 一路高歌猛进,位居 48 种编程语言之首。不仅如此,在本月最新的 TIOBE 排行榜中,Python 再次超越 C++,位居排行榜前三甲,其受欢...
转载
333阅读
0评论
1点赞
发布博客于 2 年前